vhdl

vhdl

文章 2 浏览 96

如何从字符串生成串行信号?

如何将二进制字符串(例如 "01011101000100111",长度可变)表示的数据发送到给定固定延迟或时钟信号的 std_logic 信号?我希望将其用于测试平台,因…

输什么也不输骨气 2024-08-12 20:53:00 6 0

如何从VHDL中的rom_type读取数据?

如何从 rom_type 读取数据? entity my_rom is port( addr: in std_logic_vector(3 downto 0) data: out std_logic_vector(0 to 7) ) end my_rom arch…

<逆流佳人身旁 2024-08-12 20:10:13 2 0

溢出位 32Bit ALU VHDL

我目前正在用 VHDL 编写 32 位 ALU(Add/Sub)。我遇到了溢出位的问题。 我看不到何时根据运算(加法、减法)和输入值设置溢出。 你能帮助我吗 ? 此…

过去的过去 2024-08-11 03:50:06 3 0

错误10500,没有意义

我正在研究 4 x 4 位乘法器,并收到此错误消息“错误 (10500):lab_6.vhd(33) 靠近文本“之后”的 VHDL 语法错误;期待“)”或“,”“二十次。问题是…

为你鎻心 2024-08-09 17:51:14 3 0

具有 Verilog 或 VHDL 逻辑(芯片)设计测试驱动开发 (TDD) 经验

我在网上查看过,讨论/示例似乎是针对传统软件开发的。由于Verilog 和VHDL(用于芯片设计,例如FPGA 和ASIC)与软件开发C 和C++ 类似,因此这似乎是有…

初见终念 2024-08-09 01:17:47 6 0

微控制器8051:如何获取JB和JB的输入地址CJNE指令?

我正在从头开始用 VHDL 实现整套 8051 指令。大多数事情都很顺利,但偶然发现了这两条说明: JB bit,rel 00100000 bit_address rel_address CJNE A,#d…

烈酒灼喉 2024-08-09 00:20:56 3 0

VHDL如何将std_logic_vector与std_logic信号相加?

我正在 douta : in std_logic_vector (3 downto 0) doutb : in std_logic_vector (3 downto 0) c0 : in std_logic f1 : in std_logic f0 : in std_log…

如此安好 2024-08-08 20:55:06 3 0

如何在设备驱动程序及其控制的 FPGA 之间共享寄存器和位字段定义

是否有任何好的现有软件工具可以帮助生成 C 头文件,其中包含适当的寄存器偏移#defines 以及 VHDL 的位定义?如果确实存在此类工具,它们对 VHDL 有哪…

一片旧的回忆 2024-08-08 17:40:53 3 0

VHDL:如何在输入输出端口上设置值?

我正在尝试测试 VHDL 组件,但我似乎无法获取这个输入端口给我任何行为。我尝试将端口设置为从“1”到“-”的所有值,但在模拟中它仍然显示为“U”。…

白芷 2024-08-06 16:56:49 2 0

计算结果并进行复用或不复用

这里使用伪代码。这些风格有优点和缺点吗: 假设你有一个可以进行加法、与、或和异或运算的 alu。是否最好使用始终计算可能答案的代码,然后根据操作…

浅黛梨妆こ 2024-08-04 08:46:36 1 0

触发器在两个信号的边沿触发

我需要一个对两个不同信号的边缘做出反应的触发器。 像这样的事情: if(rising_edge(sig1)) then bit &lt= '0' elsif(rising_edge(sig2)) then bit &l…

梦行七里 2024-08-01 21:45:53 5 0

绘制VHDL框图的程序?

Closed. This question is seeking recommendations for software libraries, tutorials, tools, books, or other off-site resources. It does not …

旧伤还要旧人安 2024-07-27 23:26:09 3 0

VHDL 的隐藏特性

Closed. This question needs to be more focused. It is not currently accepting answers. 想要改进这个问题?通过编辑这篇文章来更新问题,使其仅…

愿与i 2024-07-25 01:32:22 5 0

VHDL端口映射问题

我对 VHDL 还比较陌生。 我正在尝试编写代码来使用全加器的组合进行无符号乘法。 编译时它会传递到端口映射。 我已经解决了第一张地图中的错误,但所…

电影里的梦 2024-07-14 18:48:23 5 0

显示 ASCII 字符的计数器输出

我有下面的代码可以数到 59。一开始很好,但在 31 之后,开始显示 ASCII 字符,如“(”、“$”、“#”等,而不是数字。知道我哪里出错了? LIBRARY ie…

童话里做英雄 2024-07-14 12:31:48 5 0
更多

推荐作者

不再见

文章 0 评论 0

真是无聊啊

文章 0 评论 0

樱娆

文章 0 评论 0

浅语花开

文章 0 评论 0

烛光

文章 0 评论 0

绻影浮沉

文章 0 评论 0

    我们使用 Cookies 和其他技术来定制您的体验包括您的登录状态等。通过阅读我们的 隐私政策 了解更多相关信息。 单击 接受 或继续使用网站,即表示您同意使用 Cookies 和您的相关数据。
    原文