vhdl

vhdl

文章 2 浏览 97

VHDL 多路复用器实现?

是否可以实现具有多个控制信号的多路复用器?例如,我想做这样的事情: with (sig1 &amp sig2) select output &lt= A when "00", B when "01", C when…

鸠书 2024-10-31 06:43:24 1 0

了解 SystemC 中的类型

我是 SystemC 编程的初学者,我注意到一件事(查看 SystemC 官方文档):我用来在 VHDL 模拟中处理的所有类型都没有“移植”到 SystemC。 我的意思是…

简单 2024-10-30 06:39:32 0 0

VHDL 字符替换在现实生活中使用过吗?

VHDL 允许以下替换,大概是因为某些计算机可能不支持竖线(或管道符号)(|) 或散列(或井号/数字符号)(#): case A|B可以写成 case A!B 16#fff# 可以…

戴着白色围巾的女孩 2024-10-28 08:39:01 1 0

调试 VHDL:如何?

我是 VHDL 的新手,不知道如何调试 VHDL 代码。 有没有任何软件可以让我随着时间的推移了解 VHDL 实体的内部信号或类似的东西? 请帮忙。…

温柔嚣张 2024-10-27 10:45:06 1 0

VHDL 门基础知识

我正在学习VHDL,但我已经停下来了。我想用较小的门(这里是与非门)创建一个简单的门。这是代码: library IEEE use IEEE.STD_LOGIC_1164.all entity…

Smile简单爱 2024-10-24 08:32:23 2 0

我可以在生成语句中使用变量吗?

我想知道是否可以在生成语句中使用变量。 signal bitmap_nodes : std_logic_vector(0 to NB_NODES-1) := (others =&gt '0') CIRCULAR: if (CLOCKWISE …

中性美 2024-10-22 22:29:37 1 0

VHDL-图像处理

我正在 Spartan 3 入门套件上做我的项目。我正在处理存储在电脑上的图像。 您能告诉我: 我应该选择哪种套件来处理图像以增强像素清晰度? 如何将图像…

一人独醉 2024-10-22 05:54:11 0 0

简单的VHDL 4对1 MUX测试台已挂

-----------begin part1.vhdl--------------------- library ieee use ieee.std_logic_1164.all entity part1 is generic ( width : integer :=7) PO…

雪落纷纷 2024-10-21 23:27:28 1 0

如何进行 VHDL“typedef”定义

我想“创建”一个类型“my_type”,它是一个 std_logic_vector(...),就像这个 C/VHDL 假代码: typedef std_logic_vector(CONSTANT downto 0) my_typ…

三生池水覆流年 2024-10-21 17:12:43 0 0

为什么进程中我的 VHDL 组合逻辑存在延迟?

我正在为组合逻辑创建一个测试平台,其中 a、b、cin 是被测实例化单元的输入。一切似乎都运行良好。 然而,我通过在测试台进程​​中添加来导出 test_…

莳間冲淡了誓言ζ 2024-10-20 15:24:50 1 0

VHDL:找出/报告整数的位宽/长度(相对于 std_logic_vector)?

假设我需要一个信号来表示从 0 到 5 的数字;显然这需要 3 位 std_logic 来表示(即如果 MAXVAL=5,则 bitwidth= {wcalc "floor(logtwo($MAXVAL))+1"}…

删除→记忆 2024-10-20 11:27:55 3 0

VHDL中简单IF语句的数据类型问题

我有一个非常奇怪的问题,我并不是 100% 知道编译器抱怨的原因。代码如下: variable a : std_logic_vector(2 downto 0) variable b : std_logic ....…

绻影浮沉 2024-10-20 05:58:34 1 0

明确定义如何在 Xilinx XST 工具中使用 LUT 和切片?

我正在尝试实现 LUT 和切片的一些非常具体的行为,这些行为是用 VHDL 编写的,用于使用 XST 工具综合的 Xilinx Virtex 5 FPGA。我不知道我是否可以通…

故事与诗 2024-10-19 17:38:34 0 0

关于 XST 比特流生成的问题

我有一个非常简单的 VHDL 模块,由几行代码组成。问题是,当我生成比特流时,我结束了 具有巨大的比特流。我猜这是因为 XST 添加了很多额外的信息,以…

所谓喜欢 2024-10-19 17:17:04 2 0

VHDL中的多维数组问题?

我正在尝试在 VHDL 中使用多维数组,但要使其正常工作却遇到了很多麻烦。我的问题是我有一个给定大小的由 17 个向量组成的数组,其中包含 16 个向量。…

魔法少女 2024-10-19 05:31:13 0 0
更多

推荐作者

1CH1MKgiKxn9p

文章 0 评论 0

ゞ记忆︶ㄣ

文章 0 评论 0

JackDx

文章 0 评论 0

信远

文章 0 评论 0

yaoduoduo1995

文章 0 评论 0

霞映澄塘

文章 0 评论 0

    我们使用 Cookies 和其他技术来定制您的体验包括您的登录状态等。通过阅读我们的 隐私政策 了解更多相关信息。 单击 接受 或继续使用网站,即表示您同意使用 Cookies 和您的相关数据。
    原文