vhdl

vhdl

文章 2 浏览 96

从 Verilog 到 VHDL 的 Delta-sigma DAC

下面的代码在 Verilog 中实现了 Delta-sigma DAC,来自 Xilinx 应用笔记,我想编写等效的 VHDL 代码。我对 Verilog 一无所知,而且我是 VHDL 的初学者…

站稳脚跟 2024-10-10 00:28:07 0 0

将图像从 PC 读取到 FPGA 并返回

我需要从PC读取一个小图像(tif格式)到FPGA套件(ALTERA DE2-70)进行处理,然后将其写回PC。我不知道如何在 Verilog 中做到这一点? 可以用C实现吗…

尾戒 2024-10-08 17:21:57 0 0

如何编写一个简单的伪汇编程序?

我必须为用 VHDL 编写的简单 cpu 模型编写一系列测试平台。我需要的是一段将汇编代码(MIPS)中的指令转换为二进制字符串的代码。我不需要任何干净或…

丶情人眼里出诗心の 2024-10-06 04:58:11 1 0

VHDL 的 TAP(测试任何协议)模块

是否有 VHDL 的 TAP(测试任何协议)实现?那就太好了,因为这样我就可以使用证明来自动检查我的结果。还有一些很好的格式化 swuites,例如 smolder …

纵情客 2024-10-06 02:21:11 0 0

Quartus 中的 RTL 查看器中如何显示变量?

Quartus 的 RTL 查看器中如何描述变量。我打开 RTL 查看器,它没有显示任何变量寄存器。 例如: variable op_code : std_logic_vector(7 downto 0) RT…

榕城若虚 2024-10-05 16:08:21 0 0

SystemC可以将电路显示为绘图吗?

我需要设计一些数字电路,但是手工绘制它们让我很受不了。我搜索了一种更简单的方法来完成它们,并找到了 VHDL 和更有趣的 SystemC。最后一个非常好且…

冧九 2024-10-03 03:50:33 0 0

保留端口宽度

我试图在其他设计中重复使用网表,但没有成功。 我有一个转换为网表的组件: entity c is port (... sel : in std_logic_vector(31 downto 0) ... ) …

夜司空 2024-10-02 16:07:12 1 0

VHDL 中的通用移位算术

我正在设计通用移位算术运算符。 除了按照下面介绍的方式使用 32 位多路复用器(解码器)之外,还有更好的方法来实现它吗? ENTITY isra IS PORT ( cl…

打小就很酷 2024-10-02 11:21:57 0 0

添加“1”到 VHDL 中的 LOGIC_VECTOR

我正在尝试将“1”添加到 VHDL 中的 N 长度 STD_LOGIC_VECTOR 这是我第一次使用 VHDL,所以我完全不确定如何在不构建全加器的情况下添加这个 1,这似…

不爱素颜 2024-10-02 06:30:43 2 0

读取 OUT 端口以进行调试

我有一个 FIFO,它有一个看起来像这样的接口: entity fifo is port ( CLK : IN std_logic := '0' DIN : IN std_logic_vector(31 DOWNTO 0) ALMOST_EM…

飘过的浮云 2024-09-30 18:26:36 0 0

信号_IBUF>不完整

我正在尝试编写一个 VHDL 模块,但我遇到了一些输入问题,这是我的代码: library IEEE use IEEE.STD_LOGIC_1164.ALL --use ieee.std_logic_arith.all…

怼怹恏 2024-09-30 16:15:55 1 0

意外的 TICK 错误

我正在尝试编写 VHDL 模块,但 if 语句有问题。这很可能是一个愚蠢的错误,但由于我对 VHDL 很陌生,所以我无法找出问题所在。这是我的代码: library…

不弃不离 2024-09-30 11:29:33 0 0

添加 std_logic_vectors 时出错

我想要一个添加两个 std_logic_vector 的简单模块。但是,当使用代码时 下面的 + 运算符不会合成。 library IEEE use IEEE.std_logic_1164.all use IE…

奶气 2024-09-30 02:42:17 0 0

网络实例化问题

我有一个非常简单的状态机,它设置一些控制信号来与第三方 IP 交互。代码大致如下: entity testip is port ( ... fifo_dataout : in std_logic_vecto…

GRAY°灰色天空 2024-09-29 18:47:52 0 0

将 Altera M9K 的内容重置为 0(上电值)

你好, 我正在开发 Stratix III FPGA,它包含 M9K 块存储器,其内容在上电时可以方便地初始化为零。这非常适合我的应用。 有没有一种方法可以将内容重…

千柳 2024-09-27 17:21:45 0 0
更多

推荐作者

qq_FjTq5B

文章 0 评论 0

18273202778

文章 0 评论 0

WordPress小学生

文章 0 评论 0

〃温暖了心ぐ

文章 0 评论 0

迷乱花海

文章 0 评论 0

niuniu

文章 0 评论 0

更多

友情链接

    我们使用 Cookies 和其他技术来定制您的体验包括您的登录状态等。通过阅读我们的 隐私政策 了解更多相关信息。 单击 接受 或继续使用网站,即表示您同意使用 Cookies 和您的相关数据。
    原文