fpga

fpga

文章 3 浏览 70

Xilinx ISE 块 RAM 推理的稳健性

我对 Xilinx ISE block ram 推理的鲁棒性有疑问。 我的机器上没有安装 xilinx ise(今天),但我通常使用专用编码完美地推断 block ram,基本上依赖于…

若水微香 2024-10-15 10:16:12 5 0

在哪里可以找到 ModelSim 错误代码的明确列表?

我正在通过 ModelSim 运行一些 VHDL。每个错误和警告都有自己的错误代码(如下所示:(vcom-1292) 在带有未知方向前缀的切片中指定切片范围方向“downt…

多情出卖 2024-10-13 05:02:38 6 0

PCIe 卡上的计算机

有谁知道放在 PCIe 卡上的 COM ,它有一些闪存内存、一些 RAM、JTAG 支持(或某种调试支持)、一些输入端口(例如 USB)、也许支持一些输出(例如通过…

旧时模样 2024-10-12 14:47:10 6 0

FPGA 负载平衡堆栈

我希望在 FPGA 上实现负载平衡堆栈。 除了这项研究:链接文本,我还没有找到任何可用的东西。 您知道有哪些 LB 堆栈(专有的或开源的)吗? 干杯, 路…

君勿笑 2024-10-12 04:40:02 5 0

在哪里强制 xilinx ISE 使用 block-ram?

我合成了一个小设备来测试块内存推理。 我收到 XST 发来的消息: 小内存将是 在 LUT 上实施,以便 最大化性能并节省块 内存资源。如果你想强行 它在块…

千柳 2024-10-11 12:34:00 7 0

可以购买哪些 FPGA(现场可编程门阵列)在家中进行实验?

Closed. This question does not meet Stack Overflow guidelines. It is not currently accepting answers. 要求我们推荐或查找工具、库或最喜欢的…

梦萦几度 2024-10-09 05:55:46 8 0

将图像从 PC 读取到 FPGA 并返回

我需要从PC读取一个小图像(tif格式)到FPGA套件(ALTERA DE2-70)进行处理,然后将其写回PC。我不知道如何在 Verilog 中做到这一点? 可以用C实现吗…

尾戒 2024-10-08 17:21:57 12 0

MIPS 的 Verilog 源代码

谁能告诉我关于没有管道的简单 MIPS Verilog 代码...它在 FPGA 上的实现? 问候…

关于从前 2024-10-03 06:36:02 6 0

保留端口宽度

我试图在其他设计中重复使用网表,但没有成功。 我有一个转换为网表的组件: entity c is port (... sel : in std_logic_vector(31 downto 0); ... );…

夜司空 2024-10-02 16:07:12 10 0

VHDL 中的通用移位算术

我正在设计通用移位算术运算符。 除了按照下面介绍的方式使用 32 位多路复用器(解码器)之外,还有更好的方法来实现它吗? ENTITY isra IS PORT ( cl…

打小就很酷 2024-10-02 11:21:57 10 0

与 FPGA 设备的链接丢失

我正在尝试调试 PCIe FPGA 设备的设备驱动程序中的一些奇怪问题。设备驱动程序和 FPGA 映像都是在内部开发的。 目标系统是x86,操作系统是fedora 9。…

天煞孤星 2024-10-02 00:25:16 10 0

DDS频率合成器数字锁相环

我正在研究一个关于跳频收发器的项目。我想在 FPGA 上实现锁相环,即数字 PLL。我将输入信号乘以一定的频率,然后通过 LPF。现在我把这个低频交给DDS…

蓝色星空 2024-10-01 02:53:55 12 0

添加 std_logic_vectors 时出错

我想要一个添加两个 std_logic_vector 的简单模块。但是,当使用代码时 下面的 + 运算符不会合成。 library IEEE; use IEEE.std_logic_1164.all; use …

奶气 2024-09-30 02:42:17 6 0

网络实例化问题

我有一个非常简单的状态机,它设置一些控制信号来与第三方 IP 交互。代码大致如下: entity testip is port ( ... fifo_dataout : in std_logic_vecto…

GRAY°灰色天空 2024-09-29 18:47:52 6 0

寻找IP模块的库声明

我想在自己的设计中使用 ICAP 控制器的 Xilinx 硬件模块。 该模块使用以下库: library hwicap_v5_00_a; use hwicap_v5_00_a.all; 我在目录及其子目录…

时间海 2024-09-29 16:38:37 3 0
更多

推荐作者

離殇

文章 0 评论 0

小姐丶请自重

文章 0 评论 0

Aik

文章 0 评论 0

国产ˉ祖宗

文章 0 评论 0

猥琐帝

文章 0 评论 0

半仙

文章 0 评论 0

    我们使用 Cookies 和其他技术来定制您的体验包括您的登录状态等。通过阅读我们的 隐私政策 了解更多相关信息。 单击 接受 或继续使用网站,即表示您同意使用 Cookies 和您的相关数据。
    原文