hdl

hdl

文章 0 浏览 4

我如何知道我的代码是否可综合? [Verilog]

在使用自上而下的方法在 verilog 中设计电路时,我可以从电路的行为开始,然后定义每个模块中的细节,以构建可综合的结构电路。 但我怎么知道我的代码…

幸福不弃 2024-12-07 03:27:53 3 0

在 Verilog 中转换线值以进行进一步处理

我是 Verilog 新手。 我已经编写了将线值转换为整数的代码: wire [31:0] w1; integer k; always @ (w1) k = w1; 来源:在verilog中将连线值转换为整…

这个俗人 2024-12-06 07:19:02 3 0

在仿真代码中访问 Verilog genvar 生成的实例

这是一个与 Verilog 相关的问题。我正在使用 XILINX ISE 作为开发环境。 我正在尝试访问模拟中使用 genvar 自动生成的变量,但收到以下错误 -> HDLCom…

情愿 2024-12-03 13:59:01 3 0

Verilog 中 == 和 === 有什么区别?

之间有什么区别: if (dataoutput[7:0] == 8'bx) begin 和 if (dataoutput[7:0] === 8'bx) begin 执行 dataoutput = 52'bx 后,第二个给出 1,但第一…

蓝天白云 2024-11-05 18:12:42 4 0

使用always@* |意义和缺点

的含义是什么吗 你能说一下always@* 使用该语句后是否有任何可能的副作用?…

睡美人的小仙女 2024-11-05 07:41:51 4 0

在做工作之前等待 posege clk? - 如何

module DoorControl( clk, data, open,addressOftheMemory, outp ); localparam Size_ofTheWord = 32; input open; input [16:0] addressOftheMemory;…

伴梦长久 2024-11-04 16:06:08 8 0

如何在verilog中使用const

使​​用而不是 module ... ( .. ) ; #15 endmodule 我想要 module ... ( ... ) ; // GateDelay is a const, like in c language const int GateDelay…

喜你已久 2024-11-04 08:52:24 5 0

优化 FPGA 实现的管道吞吐量的最佳实践是什么?

例如,如何充分利用重定时和/或 c-slow 来充分利用给定的管道。 通过重定时,一些模块通过将移位寄存器放在输入上(前向寄存器平衡)来获得更好的结果…

左耳近心 2024-11-03 21:55:11 8 0

不是有效的左值 - verilog 编译器错误

module fronter ( arc, length, clinic ) ; input [7:0] arc; output reg [7:0] length ; input [1:0] clinic; input en0, en1, en2, en3; // 11 // c…

韵柒 2024-10-31 12:43:02 6 0

VHDL 中的语法错误

我正在尝试使用结构 VHDL 和组件来实现一位计数器。 我在尝试进行端口映射时遇到语法错误。 错误为“错误 (10028):无法解析分配4.vhd(47) 处的网络“…

遗忘曾经 2024-10-18 15:12:20 5 0

在 Verilog 中驱动双向线路

这个问题可能不会得到很好的解释,那是因为我不太明白我的设计中发生了什么。 我需要使用 I2C 通信总线与相机 (Terasic D5M) 通信,因此我尝试使用 Ve…

我恋#小黄人 2024-10-18 14:21:19 7 0

模拟与硬件不匹配

我有一个非常简单的问题,但我不知道出了什么问题。 本质上,在模拟时,整个事情工作得很好,但是,拥有它 硬件给了我错误的结果。基本上我有两个 ctr…

十秒萌定你 2024-10-18 01:44:26 4 0

ISE 自动推断 RAM 块需要满足哪些要求?

我有一段 IP,它应该是 32 位字节可寻址内存。但我无法让它推断块内存,它正在推断大量的触发器... 它应该适合仅具有双端口块内存的 Spartan3e (xc3s1…

茶色山野 2024-10-17 09:08:42 4 0

Verilog linting 工具?

Closed. This question is seeking recommendations for software libraries, tutorials, tools, books, or other off-site resources. It does not …

溺渁∝ 2024-10-15 00:09:30 10 0

将图像从 PC 读取到 FPGA 并返回

我需要从PC读取一个小图像(tif格式)到FPGA套件(ALTERA DE2-70)进行处理,然后将其写回PC。我不知道如何在 Verilog 中做到这一点? 可以用C实现吗…

尾戒 2024-10-08 17:21:57 11 0
更多

推荐作者

苦中寻乐

文章 0 评论 0

lueluelue

文章 0 评论 0

嗼ふ静

文章 0 评论 0

王权女流氓

文章 0 评论 0

与花如笺

文章 0 评论 0

残酷

文章 0 评论 0

    我们使用 Cookies 和其他技术来定制您的体验包括您的登录状态等。通过阅读我们的 隐私政策 了解更多相关信息。 单击 接受 或继续使用网站,即表示您同意使用 Cookies 和您的相关数据。
    原文