quartus

quartus

文章 0 浏览 3

在ewlance_ff @中交换2个参数

我的代码有问题。 如果语句为true,我正在尝试以同一时钟之间的时钟交换Xspeed和Yspeed。 我会遇到错误: 无法解析净的多个恒定驱动器 , module_name…

莫言歌 2025-01-21 18:06:39 0 0

VHDL 计数和移位

8位输入值将从数据传递到A时,当负载为1时,当s变为1时,如果a(0)为1,则B值将B的值增加1,A为右移动。当a的值变为00000000时,我们完成了1并逃脱。…

初心未许 2025-01-17 18:47:59 0 0

我认为这是一个错误。无法从 Ubuntu 上的 Quartus 调用 ModelSim

我在 Ubuntu 20.04 上安装了 Quartus Prime Lite 21.1,并且工作正常。 我也有 ModelSim 20.1.1,它工作正常 我已经在 Quartus Prime 上放置了 Model…

夜雨飘雪 2025-01-14 04:49:40 0 0

Intel Questas_fse/Quartus II 中的仿真波形不更新输出

我正在尝试运行 Quartus II ( Quartus Prime 版本 21.1.0 Build 842 10/21/2021 Sj Lite Edition)在 Linux Pop OS 21.10 上。 我无法从仿真波形编辑…

最舍不得你 2025-01-11 18:19:18 2 0

如何在 MAX10 上仅使用一个 ADC 通道

我有一个 ADC 核心 component adc_qsys is port ( adc_1_command_valid : in std_logic := '0'; adc_1_command_channel : in std_logic_vector(4 down…

撧情箌佬 2025-01-10 15:38:05 2 0

如何在 Quartus II 中分配引脚

我们正在考虑将一些代码转移到 CPLD 或 FPGA 中,以提高速度。我之前曾使用过 Xilinks 及其工具套件,但出于某种原因,我们决定这次使用 Altera,所以…

往事随风而去 2024-10-31 20:18:27 9 0

Quartus 中的 RTL 查看器中如何显示变量?

Quartus 的 RTL 查看器中如何描述变量。我打开 RTL 查看器,它没有显示任何变量寄存器。 例如: variable op_code : std_logic_vector(7 downto 0); R…

榕城若虚 2024-10-05 16:08:21 10 0
更多

推荐作者

峩卟喜欢

文章 0 评论 0

一向肩并

文章 0 评论 0

潜伏

文章 0 评论 0

dongyinghao

文章 0 评论 0

百变从容

文章 0 评论 0

沧笙踏歌

文章 0 评论 0

    我们使用 Cookies 和其他技术来定制您的体验包括您的登录状态等。通过阅读我们的 隐私政策 了解更多相关信息。 单击 接受 或继续使用网站,即表示您同意使用 Cookies 和您的相关数据。
    原文