quartus

quartus

文章 0 浏览 0

Quartus 中的 RTL 查看器中如何显示变量?

Quartus 的 RTL 查看器中如何描述变量。我打开 RTL 查看器,它没有显示任何变量寄存器。 例如: variable op_code : std_logic_vector(7 downto 0) RT…

榕城若虚 2024-10-05 16:08:21 1 0
更多

推荐作者

不再见

文章 0 评论 0

真是无聊啊

文章 0 评论 0

樱娆

文章 0 评论 0

浅语花开

文章 0 评论 0

烛光

文章 0 评论 0

绻影浮沉

文章 0 评论 0

    我们使用 Cookies 和其他技术来定制您的体验包括您的登录状态等。通过阅读我们的 隐私政策 了解更多相关信息。 单击 接受 或继续使用网站,即表示您同意使用 Cookies 和您的相关数据。
    原文