将 Unisim 与 GHDL 结合使用:在 ieee 库中找不到 important_timing 和 important_primitives
我正在尝试模拟一个使用 ISE 附带的 Xilinx Unisim 库中的组件的组件。我怎样才能做到这一点? 这是我尝试过的。我遇到了一个我不明白的问题。 $ ls D…
无法从 RAM 内存地址输出数据
我正在尝试在 vhdl 中构建一个 ram,在下面的代码中,我成功地将数据存储在 ram 位置 0000 和 0001 中。我没有成功地从内存位置 0000 和 0001 输出数…
带 D 触发器的结构 4 位环形计数器。 VHDL / GHDL
我不知道如何用结构编程来做到这一点...... “由 4 个 D 触发器组成的 4 位二进制计数器(带有复位信号)。” 如何连接输入/输出? 这是实体声明。问…
这是 Ghdl/gtkwave 错误吗?
我在 Windows 7 上使用 ghdl+gtkwave 进行学习。 我通过在 .bat 文件中调用一些 shell 来运行模拟,通常当我遇到一些 sintax 错误时,我会在 dos 窗口…
简单的VHDL 4对1 MUX测试台已挂
-----------begin part1.vhdl--------------------- library ieee; use ieee.std_logic_1164.all; entity part1 is generic ( width : integer :=7);…
无法使用 ghdl 执行/运行任何 vhdl 代码
我已经在 Ubuntu 10.10 中安装了 ghdl(使用存储库中的 apt-get)并使用了 ghdl 手册中提供的 hello_world 示例。我可以成功分析(-a)和详细说明(-e…
- 共 1 页
- 1