circuit

circuit

文章 0 浏览 2

保留端口宽度

我试图在其他设计中重复使用网表,但没有成功。 我有一个转换为网表的组件: entity c is port (... sel : in std_logic_vector(31 downto 0) ... ) …

夜司空 2024-10-02 16:07:12 2 0

Verilog 到 GDSII 编译器(开源)

Closed. This question is seeking recommendations for software libraries, tutorials, tools, books, or other off-site resources. It does not …

辞慾 2024-10-02 14:35:03 1 0

VHDL 中的通用移位算术

我正在设计通用移位算术运算符。 除了按照下面介绍的方式使用 32 位多路复用器(解码器)之外,还有更好的方法来实现它吗? ENTITY isra IS PORT ( cl…

打小就很酷 2024-10-02 11:21:57 1 0

如何通过解析 C 程序并将其转换为要显示的电路图来进行反向建模

如何通过解析 C 程序并将其转换为要显示的电路图来进行反向建模。 示例 除了这是伪代码。…

九局 2024-09-15 12:46:36 1 0

关于逻辑电路和串行通信的建议

据我目前对串口的了解,数据传输是通过引脚3完成的。如下所示: 有两件事让我对此感到不舒服。第一个是,这似乎意味着两个连接的设备在信号速度上达成…

安人多梦 2024-08-19 20:40:46 3 0

状态空间和电路模拟器

据我所知,目前还没有基于状态空间的通用电路模拟器。尽管有某些算法可以找出如何在电路(由图表示)中找到状态空间(唯一?)。有人尝试编写程序来模…

靑春怀旧 2024-08-18 23:13:28 3 0

数字电路半/全加法器

我正在上数字电路课程,我们不使用书籍,只使用讲义。在介绍加法器的部分中,我只有 2 个小示例,介绍了二进制数的加法和减法。我很确定我会在考试中…

花开半夏魅人心 2024-08-18 13:22:21 5 0

程序员的电路设计书

我需要一本教授电路设计的书,这在微控制器编程时会很有用。通常,当我加入一个项目时,在开始将任何逻辑编程到微控制器中之前,需要将其集成到带有电…

夜光 2024-08-02 14:18:33 4 0

计算 15 位输入中设置位数的电路

如何构建一个节省面积的电路,使用 4 输入 LUT(查找表)来计算 15 位输入中设置位的数量。 输出显然是 4 位(计数 0-15)。 有人声称可以使用 9 个 L…

初心 2024-07-27 04:41:30 4 0

VHDL端口映射问题

我对 VHDL 还比较陌生。 我正在尝试编写代码来使用全加器的组合进行无符号乘法。 编译时它会传递到端口映射。 我已经解决了第一张地图中的错误,但所…

电影里的梦 2024-07-14 18:48:23 6 0

如何在verilog中将数字转换为二进制补码?

我正在尝试用 verilog 设计一个 4 位加法器减法器。 这只是我用 verilog 编写的第二件事,而且我还不知道所有正确的语法。 这是我迄今为止拥有的模块…

傲影 2024-07-07 17:57:24 9 0

从 C/C++ 使 LED 闪烁的步骤 程序?

通过 C/C++ 程序制作带有 LED 闪光灯的小电路的最简单步骤是什么? 我希望所需的依赖项和包数量最少。 我应该将某些东西连接到哪个端口? 我会使用哪…

傾旎 2024-07-07 01:41:03 7 0

适合初学者的简单串行 AVR 编程器

为 AVR atMega 和 atTiny 制作串行 (RS232) 编程器的便宜且好的方法是什么? 网上有好几种电路,但是哪一种更好呢? 我希望能够使用一些标准工具在 Li…

§对你不离不弃 2024-07-05 17:57:30 3 0
更多

推荐作者

不再见

文章 0 评论 0

真是无聊啊

文章 0 评论 0

樱娆

文章 0 评论 0

浅语花开

文章 0 评论 0

烛光

文章 0 评论 0

绻影浮沉

文章 0 评论 0

    我们使用 Cookies 和其他技术来定制您的体验包括您的登录状态等。通过阅读我们的 隐私政策 了解更多相关信息。 单击 接受 或继续使用网站,即表示您同意使用 Cookies 和您的相关数据。
    原文