提示时出现警告消息

发布于 2024-11-03 07:06:28 字数 1103 浏览 4 评论 0原文

我正在尝试模拟测试台。我没有收到波形,而且在提示时收到以下警告消息。是因为=is警告我的代码没有模拟吗?

** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
#           File in use by:   Hostname:   ProcessID: 0
#           Attempting to use alternate WLF file "./wlftazxa4k".
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
#           Using alternate file: ./wlftazxa4k
run

我还包括我的测试平台,如下所示:

    module dec_tb;
    reg [63:0] FROM_IF_ID;
    reg CLK;
    wire [117:0] TO_ID_HMIC;
    integer k=0;
    inst_decode id(.from_if_id(FROM_IF_ID),.clk(CLK),.to_id_hmic(TO_ID_HMIC));

    initial 
     begin
       $monitor($time,"clk=%b, fifid=%b, tidhm=%b",CLK,FROM_IF_ID,TO_ID_HMIC);
       $display("qf");
       CLK= 0;
       FROM_IF_ID[35:32]=4'b1100; 
       FROM_IF_ID[63:36]=28'b0000_10000_00100_01000_00010_0001;
     end

    always 
     begin
     #10 CLK= ~CLK;
     end
    always @(posedge CLK)
      begin
      $display (" TO_ID_HMIC= %b", TO_ID_HMIC);
      FROM_IF_ID[k] =~FROM_IF_ID[k]; 
      k=k+1;
      #500 $finish;
      end
    endmodule

I'm trying to simulate a testbench. I'm not getting the waveforms also i'm getting the following warning message at the prompt. Is it because of the=is warning that my code does not simulate?

** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
#           File in use by:   Hostname:   ProcessID: 0
#           Attempting to use alternate WLF file "./wlftazxa4k".
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
#           Using alternate file: ./wlftazxa4k
run

I'm also includng my testbench as follows:

    module dec_tb;
    reg [63:0] FROM_IF_ID;
    reg CLK;
    wire [117:0] TO_ID_HMIC;
    integer k=0;
    inst_decode id(.from_if_id(FROM_IF_ID),.clk(CLK),.to_id_hmic(TO_ID_HMIC));

    initial 
     begin
       $monitor($time,"clk=%b, fifid=%b, tidhm=%b",CLK,FROM_IF_ID,TO_ID_HMIC);
       $display("qf");
       CLK= 0;
       FROM_IF_ID[35:32]=4'b1100; 
       FROM_IF_ID[63:36]=28'b0000_10000_00100_01000_00010_0001;
     end

    always 
     begin
     #10 CLK= ~CLK;
     end
    always @(posedge CLK)
      begin
      $display (" TO_ID_HMIC= %b", TO_ID_HMIC);
      FROM_IF_ID[k] =~FROM_IF_ID[k]; 
      k=k+1;
      #500 $finish;
      end
    endmodule

如果你对这篇内容有疑问,欢迎到本站社区发帖提问 参与讨论,获取更多帮助,或者扫码二维码加入 Web 技术交流群。

扫码二维码加入Web技术交流群

发布评论

需要 登录 才能够评论, 你可以免费 注册 一个本站的账号。

评论(3

时光无声 2024-11-10 07:06:28

如果这是唯一的消息,则模拟将运行,您可以在指定的备用文件 (wlftazxa4k) 中找到波浪。

如果您想解决问题,以便波形出现在 vsim.wlf 中,请尝试以下几项操作:

  • 确保您没有运行任何杂散的 modelsim 进程
  • 确保您没有 vsim.wlf 在波形查看器中打开
  • 手动删除 vsim.wlf 并重新运行

If that's the only message, then the simulation ran, and you can find the waves in the specified alternate file (wlftazxa4k).

If you want to fix the problem so the waves show up in vsim.wlf, here are a few things to try:

  • Make sure you don't have any stray modelsim processes running
  • Make sure you don't have vsim.wlf open in a waveform viewer
  • Delete vsim.wlf manually and rerun
扮仙女 2024-11-10 07:06:28

我也有这个问题。事实证明,我的 vsim 文件所在的目录已满(学校分区,600mb 允许空间)。清除了我周围的一些旧文件后,该程序运行良好。

I had this problem also. As it turns out, the directory that my vsim files were located in was full (school partition, 600mb allowed space). After clearing out some old files i had lying around, the program worked fine.

牵你手 2024-11-10 07:06:28

您的测验:

  1. 运行模拟
  2. 使用 gui 或命令退出模拟:quit -sim
  3. 转到第 1 步并享受乐趣。

您可以删除 modelsim 创建的缓存文件。

Your your quiz:

  1. Run you simulation
  2. Quit your simulation with gui or command: quit -sim
  3. Goto step 1 and have fun.

You can remove cache file create by modelsim.

~没有更多了~
我们使用 Cookies 和其他技术来定制您的体验包括您的登录状态等。通过阅读我们的 隐私政策 了解更多相关信息。 单击 接受 或继续使用网站,即表示您同意使用 Cookies 和您的相关数据。
原文