无法使用 ghdl 执行/运行任何 vhdl 代码

发布于 2024-10-14 10:57:51 字数 934 浏览 2 评论 0原文

我已经在 Ubuntu 10.10 中安装了 ghdl(使用存储库中的 apt-get)并使用了 ghdl 手册中提供的 hello_world 示例。我可以成功分析(-a)和详细说明(-e),但是当我尝试运行/执行(-r)它时,出现以下错误:
/usr/lib/ghdl/bin/ghdl: 编译错误

为了详细说明我的问题,我在最后提到了 vhdl 代码以及我在下面遵循的命令序列:

$ ghdl -a hello.vhdl
$ ghdl -e hello_world
$ ./hello_world
bash: ./hello_world: 权限被拒绝
$ ghdl -r hello_world
/usr/lib/ghdl/bin/ghdl:编译错误

我也尝试过以 root 身份工作,但没有成功。权限是完美的,执行位已设置。一切似乎都很好,但仍然不起作用。我什至尝试重新安装 ghdl 软件包。

有人可以告诉我问题的根源是什么吗?

提前致谢。

hello.vhdl(Vhdl代码)

--Hello world 程序。
使用 std.textio.all; -- 导入标准textio包。
-- 定义一个设计实体,没有任何端口。
实体 hello_world 是
结束 hello_world;
hello_world 的架构行为是
开始
流程
变量l:行;
开始
write (l, String'("Hello world!"));
writeline(输出,l);
等待;
结束进程;
结束行为;

I have installed ghdl in Ubuntu 10.10 (using apt-get from the repository) and used the hello_world example available in the ghdl manual. I can analyze (-a) and elaborate (-e) successfully but when I try to run/execute (-r) it, I get the following error:
/usr/lib/ghdl/bin/ghdl: compilation error

To elaborate my problem, I have mentioned the vhdl code at the end and the sequence of commands that I follow below:

$ ghdl -a hello.vhdl
$ ghdl -e hello_world
$ ./hello_world
bash: ./hello_world: Permission denied
$ ghdl -r hello_world
/usr/lib/ghdl/bin/ghdl: compilation error

I have tried working as root too but without success. The permissions are perfect, the execute bit is set. Everything seems to be fine, but still it doesnt work. I have even tried reinstalling the ghdl package.

Can someone please tell me what could be the source of problem?

Thanks in advance.

hello.vhdl (Vhdl code)

-- Hello world program.
use std.textio.all; -- Imports the standard textio package.
-- Defines a design entity, without any ports.
entity hello_world is
end hello_world;
architecture behaviour of hello_world is
begin
process
variable l : line;
begin
write (l, String'("Hello world!"));
writeline (output, l);
wait;
end process;
end behaviour;

如果你对这篇内容有疑问,欢迎到本站社区发帖提问 参与讨论,获取更多帮助,或者扫码二维码加入 Web 技术交流群。

扫码二维码加入Web技术交流群

发布评论

需要 登录 才能够评论, 你可以免费 注册 一个本站的账号。

评论(3

云朵有点甜 2024-10-21 10:57:51

你的文件系统是否安装为noexec? mount 对于您的工作区域所属的文件系统有何说明?

Is your filesystem mounted noexec? What does mount say about the filesystem that your working area is one?

你爱我像她 2024-10-21 10:57:51

如果未设置执行位,我可以重现该问题。

你确定权限没有问题吗?

I can reproduce the problem if the execute bit is not set.

Are you absolutely sure there is no problem with permissions?

爱冒险 2024-10-21 10:57:51

只是遇到了同样的问题,我的解决方案似乎已经解决了所有问题,即使用 777 mod chmod /usr/local/bin/ghdl 文件夹。

Just had the same problem, and my solution which seemed to have fixed everything was to chmod the /usr/local/bin/ghdl folder with 777 mod.

~没有更多了~
我们使用 Cookies 和其他技术来定制您的体验包括您的登录状态等。通过阅读我们的 隐私政策 了解更多相关信息。 单击 接受 或继续使用网站,即表示您同意使用 Cookies 和您的相关数据。
原文